iLLD_TC27xD  1.0
Standard Interface (/If)

Enumerated Types

In case values are not supported by the standard interface, then it can be signals through the "undefined" enum value.

// SSC operation modes
typedef enum
{
SscIf_Mode_master, // Master mode
SscIf_Mode_slave, // Slave mode
SscIf_Mode_undefined // Undefined mode
} SscIf_Mode;

[Previous page] [Next page]